当前位置: > 热评

EDA行业研究:半导体产业基石,中国EDA迎国产替代机遇

时间:2022-08-17 16:10:11 热评 我要投稿

(报告出品方/作者:国联证券,孙树明、熊军、黄楷)

1 EDA 行业概述

1.1 EDA 行业是半导体产业基石

EDA(Electronic Design Automation,电子设计自动化)是指利用计算机软件 完成大规模集成电路的设计、仿真、验证等流程的设计方式,融合了图形学、计算数 学、微电子学、拓扑逻辑学、材料学及人工智能等技术,处于集成电路产业链中的最 上游,是设计厂商完成芯片设计、代工厂商实现成品率提升的核心基础工具,支撑规 模庞大的集成电路市场乃至电子信息、数字经济市场。

EDA 工具贯穿于集成电路设计、制造、封测等环节。一个完整的集成电路设计和 制造流程主要包括工艺平台开发、集成电路设计和集成电路制造三个阶段,均需要对 应的 EDA 工具作为支撑,包括用于支撑工艺平台开发和集成电路制造两个阶段的制造 类 EDA 工具以及支撑集成电路设计阶段的设计类 EDA 工具。

EDA 工具分类繁多。按应用场景,EDA 工具通常可分为数字设计类、模拟设计类、 晶圆制造类、封装类、服务等五大类。数字设计类工具主要包括 RTL 编辑、功能仿真、 逻辑综合、形式验证等工具;模拟设计类工具主要包括版图设计与编辑、电路仿真、 版图验证等工具;晶圆制造类工具主要包括器件建模、工艺和器件仿真(TCAD)、PDK 开发与验证、计算光刻、掩膜版校准、掩膜版合成和良率分析等。封装类工具主要是 面向芯片封装环节的设计、仿真、验证工具。根据 ESD 数据统计,数字设计类 EDA 工具和模拟设计类 EDA 工具占整体 EDA 市场的比例分列前两位,2020 年市场份额分 别达到 65.0%和 17.1%,前者为后者的接近 4 倍,这与下游数字芯片和模拟芯片市场比 例基本一致。

1.2 EDA 行业发展历程

1.2.1 EDA 经历了四个发展阶段

EDA 的诞生和发展是伴随着集成电路规模逐步扩大和电子系统日趋复杂,整体看, 其发展大致经历了四个阶段: (1)计算机辅助设计(CAD)阶段:20 世纪 70 年代,由于芯片复杂度低,芯片 设计人员可以通过手工操作完成电路图的输入、布局和布线。70 年代中期,可编程 逻辑设计技术的出现使得芯片设计自动化成为可能。随着电路集成度的提升,设计人 员开始尝试使用 CAD 工具进行设计工程自动化来替代手工绘图,实现交互图形编辑、 IC 版图设计、PCB 布局布线、设计规则检查、门级电路模拟和验证等功能。

(2)计算机辅助工程(CAE)阶段:20 世纪 80 年代,EDA 技术进入发展和完善 阶段,推出的 EDA 工具以逻辑模拟、定时分析、故障分析、自动布局和布线为核心, 重点解决功能检测等问题,利用这些工具,设计师能在产品制作之前预知产品功能和 性能。80 年代后期,EDA 工具已可以进行设计描述、综合与优化和设计结果验证。这 一时期 EDA 商业化逐渐成熟,当前的 EDA 国际三巨头 Siemens EDA 的前身 Mentor Graphics、Synopsys 和 Cadence 分别于 1981 年、1986 年和 1988 年成立。

(3)电子系统设计自动化(EDA)阶段:20 世纪 90 年代,随着芯片设计流程的 标准化发展以及集成电路设计方法论的完善,以高级语言描述、系统级仿真和综合技术为特点的 EDA 工具就此出现。此后 EDA 技术获得了极大的突破发展,真正实现了设 计的自动化。

(4)现代 EDA 时代:2000 年前后,EDA 在仿真验证和设计两个层面支持标准硬 件语言的 EDA 软件工具功能更加强大,更大规模的可编程逻辑器件不断推出,系统级、 行为级硬件描述语言趋于更加高效和简单。目前 EDA 工具已能对集成电路的设计、制 造、封装等环节实现全覆盖,应用于包括模拟电路、数字电路、FPGA、PCB、面板等 多个领域的设计工作。

1.2.2 国内 EDA 行业起步较晚且发展较为曲折

国内 EDA 产业起步。1978 年秋,“数字系统设计自动化”学术会议于桂林阳朔 举行,有 67 个单位,140 多名代表参加了会议,这次会议被誉为“中国 EDA 事业的 开端”,标志着中国 EDA 事业在学术领域的萌芽。以桂林会议为起点,“六五”期间, 我国陆续开发了国产 ICCAD 一级系统和二级系统。 国产 EDA 问世。受制于“巴统”禁令的限制,国外 EDA 无法进入中国,国内的 ICCAD 工具研发停留在众多一级系统和二级系统。为了摆脱这种受制于人的状态, 1986 年前后,国家动员了全国 17 个单位,200 多名专家聚集北京集成电路设计中心, 开发属于自己的 EDA。1993 年,我国第一个自主研发的 ICCAD 系统问世,并被命名为 “熊猫系统”。它填补了我国在EDA领域的空白,打破了外国对中国的EDA工具封锁, 获得国家科学技术进步一等奖。

国内 EDA 产业发展遭遇挫折。冷战结束后,1994 年“巴统”禁令取消,海外 EDA 三巨头大举进入中国市场,以技术成熟、价格便宜、免费赠送、多方合作等策略,快 速获取市场份额。此外,在我国积极推动 WTO 全球化的背景下,国内 EDA 产业缺少政 策和市场支持,国产 EDA 工具研发和应用陷入低谷。 国内 EDA 产业迎来重大发展机遇。2008 年 4 月,国家科技重大专项“核心电子 器件、高端通用芯片及基础软件产品”实施方案经国务院常务会议审议并原则通过。 作为《国家中长期科学和技术发展规划纲要(2006-2020 年)》所确定的国家十六个 科技重大专项之一,EDA 行业重新获得了鼓励和扶持。2008 年以来,国内 EDA 领域涌 现了华大九天、概伦电子、广立微电子、国微集团和芯和半导体等公司,开始进入市 场的主流视野。2018 年以来,受中美贸易摩擦影响,国内高新技术企业如华为、中 兴等陆续受到美国制裁,半导体供应链安全受到高度重视,自主可控需求增长。国家 出台了一系列针对 EDA 产业的扶持政策,以加速行业成长,国内 EDA 产业迎来重大发 展机遇。

1.3 全球 EDA 市场超百亿美元,中国市场实现较快增长

EDA 是集成电路产业链中的上游,贯穿于集成电路设计、制造、封测等环节,支 撑数千亿美元集成电路产业、万亿美元电子信息产业和数十万亿美元的数字经济。

全球集成电路市场广阔。根据世界半导体贸易统计协会(WSTS)统计,2021 年 全球集成电路行业市场规模为 4630 亿美元,同比增长 28.18%;2012-2021 年年均复 合增速为 7.66%。WSTS 预计 2022 年全球集成电路行业市场规模将达到 5473 亿美元, 同比增长 18.21%。

中国集成电路市场实现较快增长。根据中国半导体行业协会(CSIA)统计,2021 年中国集成电路行业市场规模为 10458 亿元,同比增长 18.20%;2012-2021 年年均复 合增速为 19.16%,明显超过同期全球集成电路市场的 7.66%年均复合增速。

全球 EDA 市场伴随集成电路产业发展。EDA 作为集成电路产业链中的上游,与下 游景气度相关。根据 ESD Alliance 统计,2021 年全球 EDA 市场规模为 132.75 亿美 元,同比增长 15.77%;2012-2021 年年均复合增速为 8.19%,与同期全球集成电路市 场的 7.66%年均复合增速相近。在近年来全球集成电路产业基本保持稳定向好的发展 态势下,全球 EDA 市场规模呈加速增长趋势,2018-2021 年同比增速分别为 4.49%、 5.86%、11.62%、15.77%。

全球EDA市场产品构成相对稳定。ESD Alliance将全球EDA市场按产品分为SIP、 CAE、IC 物理设计和验证、PCB & MCM 和服务,2021 年市场份额分别为 38%、31%、19%、 9%和 3%。2017-2021 年间,全球 EDA 市场产品份额相对稳定,SIP 份额略呈上升趋势。

亚太地区(除日本)EDA 市场份额提高。ESD Alliance 将全球 EDA 市场按地域划 分为美洲、亚太地区(除日本)、欧洲中东和非洲、日本,2021 年市场份额分别为 43%、 36%、14%、7%。2017-2021 年间,全球 EDA 市场中亚太地区(除日本)份额呈上升趋 势。

中国 EDA 市场实现较快增长,但低于同期中国集成电路市场规模增速。根据中 国半导体行业协会统计,2020 年中国 EDA 市场规模为 93.1 亿元,同比增长 27.71%; 2015-2020 年年均复合增速为 12.57%,低于同期中国集成电路市场的 19.64%年均复 合增速。

2 EDA 行业竞争格局

2.1 EDA 行业具有较高壁垒

EDA 行业具有较高壁垒。EDA 行业是典型的技术驱动行业,对研发投入、研发人 员、用户协同等都提出了较高要求,具有较高行业壁垒。

技术壁垒:EDA 是算法密集型的大型工业软件系统,需要强大的数学基础理 论支撑,且对算法的要求较高。此外,EDA 工具要尽可能准确的在软件中重 现和拟合现实中的物理和工艺问题,设计工具和制造工艺紧密结合的重要性 愈发突出。EDA 企业保持长久竞争力需要高强度、长周期的研发投入获得较 长时间的技术积累和专利积累,易形成较高的技术壁垒。

人才储备壁垒:EDA 开发过程需要计算机、数学、物理、电子电路、工艺等 多种学科和专业的高端人才,对综合技能要求很高,企业的人才储备决定其 是否能够在行业中持续发展。行业头部公司均拥有经验丰富、实力雄厚的研 发队伍,其知名度、成熟培训体系等也能够持续吸引人才加入,研发人员规 模领先,形成了人才壁垒。2021 财年末,海外 EDA 巨头 Synopsys、Cadence 员工人数分别为 16361、9300,是支撑其在全球 EDA 市场获得较高市占率的 重要因素之一。

用户协同壁垒:EDA 工具的技术开发和商业销售依托于制造、设计、EDA 行业 三方所形成的生态圈,需要产业链上下游的全力支持。国际 EDA 领域的领先 企业与全球领先的集成电路制造和设计企业具备长期合作基础,其 EDA 工具 工艺库信息完善,能够随先进工艺演进不断迭代,进一步巩固了竞争优势。 EDA 行业下游用户一旦确定了 EDA 供应商,短时间在内部更换 EDA 工具软件 的成本较大,因此集成电路制造与设计企业对合作 EDA 工具供应商粘性较强。

资金规模壁垒:为保持较高的行业竞争力,EDA 企业需要投入大量的研发支 出和并购支出,因此形成了较高的资金规模壁垒。海外 EDA 巨头 Synopsys、 Cadence 持续加大研发投入,近十年研发费用分别累计为 627.41 亿元、508.92 亿元,平均研发费用率分别为 34.56%、38.90%。

2.2 全球 EDA 行业高度集中

全球 EDA 行业高度集中,CR3 超过 77%。EDA 行业具有较高的技术、人才储备、 用户协同、资金规模等行业壁垒,经过 30 余年的行业整合发展,形成较高集中度的 行业竞争格局。新思科技(Synopsys)、铿腾电子(Cadence)、西门子 EDA(Siemens EDA)三家厂商是处于全球 EDA 行业第一梯队的巨头公司,具备对于半定制、全定制 IC 设计全流程的覆盖能力,能够为客户提供整套的 IC 设计工具,已建立起相当完善 的行业生态圈,形成了较高的行业壁垒和用户粘性,占据了全球主要的 EDA 市场。根 据赛迪顾问统计,2020 年新思科技(Synopsys)、铿腾电子(Cadence)、西门子 EDA (Siemens EDA)在全球 EDA 市场占有率分别为 29.1%、32.0%、16.6%,合计超过 77%。

ANSYS、是德科技也占据了较为突出的市场份额,CR5 约 85%。除新思科技、铿 腾电子、西门子EDA 三家国际 EDA 巨头外,ANSYS和是德科技(Keysight Technologies) 为代表的国际领先 EDA 公司,凭借其在细分领域取得的技术领先优势,在特定的设计 环节或特定领域形成了其垄断地位,已成功抢占了较为突出的市场份额。根据赛迪顾 问统计,2020 年 ANSYS、是德科技全球 EDA 市场市占率分别为 4.8%、3.3%,前五大 EDA 公司累计占有了超 85%的全球 EDA 市场份额。

除全球前五大 EDA 公司外,仍有相当数量的 EDA 公司。虽然全球 EDA 巨头具有 较高的竞争优势,但由于 EDA 工具的复杂性,不同厂商之间仍然各具差异化优势。一 些成长中的企业通过专注与快速迭代在细分市场实现局部竞争优势,主要采取了两种策略:一是优先突破关键环节核心工具,典型公司国际上还有 PDF Solutions 等, 国内有概伦电子、广立微等;二是优先突破部分设计应用全流程解决方案,典型公司 国际上有 SILVACO、Jedat Inc.等,国内有华大九天等。根据赛迪顾问统计,除全球 前五大 EDA 公司外,2020 年其他 EDA 公司共占有 14.2%的市场份额。

国内 EDA 市场也主要由三大巨头占据。1994 年“巴统”禁令取消,海外 EDA 三 巨头大举进入中国市场,以技术成熟、价格便宜、免费赠送、多方合作等策略,快速 获取市场份额,国产 EDA 发展受挫。2008 年后国家出台相关政策,国产 EDA 迎来发 展机遇,但受制于海外 EDA 龙头的深厚技术、经验积累,国内 EDA 市场仍主要由三大 巨头占据。根据赛迪智库统计,2020 年国际三大 EDA 巨头新思科技、铿腾电子和西门 子 EDA 在国内市场占据明显的头部优势,合计占领约 80%的市场份额;国产 EDA 厂商 华大九天市占率约 6%,处于国内市场第四位。

3 中国 EDA 行业迎来发展机遇

3.1 EDA 重要性提升,融合 AI、云计算等技术

后摩尔时代对 EDA 提出了更高的要求。集成电路制造行业经历了数十年的快速发 展,先进工艺节点不断演进,晶体管尺寸在不断逼近物理极限。根据 SIA 及 IEEE 报 告,现有技术瓶颈的制约正在加强,工艺的迭代速度已经有所放缓,未来该趋势将进 一步持续。目前业界普遍认为集成电路行业已经进入到后摩尔时代。根据 IEEE 发布 的国际器件与设备路线图(IRDS),摩尔定律发展到 5nm 及以下工艺节点的时候,继 续按照传统工艺,通过传统的工艺缩小晶体管的尺寸会变得极为困难。未来先进工艺 节点的演进将遵循三个方向进行,分别为延续摩尔定律(More Moore)、超越摩尔定 律(More than Moore)和新型器件(Beyond CMOS)。为配合上述技术发展趋势,EDA 行业需要同步发展和突破能支撑更先进工艺节点、更复杂的设计和制造及更多样化的 设计应用的 EDA 工具和流程,对 EDA 公司提出了新的挑战和要求。

先进制程设计成本快速增长,EDA 能够提升设计效率。根据 International Business Strategies (IBS)数据显示,IC 设计成本已从 28 纳米平面器件的 5130 万 美元跃升至 7 纳米芯片的 2.978 亿美元和 5 纳米芯片的 5.422 亿美元。可重复使用的 平台模块、异构并行处理器的应用、基于先进封装集成技术的芯粒技术等成为驱动设 计效率提升、降低设计成本的重要方式,而以上方式的应用与 EDA 技术的进步相辅相 成的。因此,在先进制程芯片设计成本快速增长的背景下,EDA 工具的发展显得更加 重要。

通过 EDA 实现设计与制造的协同优化。在 Fabless 模式下,虽然产业链各个环节的专业化程度有所提升,但制造端与设计端的联系不如 IDM 模式紧密,导致设计者无 法及时了解制造工艺的限制,从而使得部分设计在制造环节无法达到设计预定的功能、 性能或理想的成品率。后摩尔时代对产业链协同提出了更高要求,EDA 工具贯穿工艺 平台开发、设计、晶圆生产、封测等环节,将向实现设计与制造协同的方向转变。 人工智能助力 EDA 工具性能提升。近年来,芯片复杂度的提升以及设计效率需求 的提高,对芯片设计提出了更高的要求。人工智能、机器学习与 EDA 方法学的融合, 能够推动芯片设计生产力快速增长,辅助降低芯片设计门槛、提升芯片设计效率,实 现更加自动化的电子设计能力,是行业未来的发展趋势之一。 EDA 云平台化将成为重要服务方式。在设计流程当中,集成电路设计公司面对波 动的算力需求,往往无法充分灵活地调取计算资源去面对复杂的仿真验证步骤,导致 验证周期长,产品的上市周期节奏慢,而传统自建模式硬件成本高、上线周期长。通 过 EDA 云平台,一方面可以有效避免芯片设计企业因流程管理、计算资源不足带来的 研发风险,保障企业研发生产效率;另一方面可以有效降低企业在服务器配置和维护 方面的费用,将越来越成为重要服务方式,推动集成电路设计公司发展。

3.2 国内 EDA 产业迎国产替代机遇

半导体产业向中国转移。目前中国大陆已经成为半导体产品最大的消费市场,且 需求持续旺盛。根据 IBS 统计,2019 年中国消费了全球 52.93%的半导体产品,预 计到 2030 年中国将消费全球 60%左右的半导体产品。强劲的市场需求促使全球产能 逐渐转移到中国大陆,根据 IC Insights 数据,中国大陆晶圆产能占比已从 2011 的 9%增长至 2021 年 16%。近年来,以华为海思为代表的 IC 设计厂商兴起,以中芯国 际为代表的代工厂商制造工艺不断提升,以长电科技、华天科技为代表的封测厂商, 在产能和工艺上已接近国际先进水平。

中国集成电路设计环节快速发展。中国集成电路产业结构正由“大封测、小设计、 小制造”向“大设计、中制造、中封测”转型,设计及制造环节呈现快速发展趋势。2021 年,中国集成电路产业的销售额为 10458 亿元,同比增长 18.2%。其中,设计业销 售额为 4519 亿元,同比增长 19.6%,占比为 43.21%;2012-2021 年,中国集成电 路设计业产值年均复合增速为 24.66%,占比从 28.80%提升至 43.21%。根据 ICCAD, 2021 年中国集成电路设计企业数量为 2810 个,2012-2021 年年均复合增速为 19.42%。中国集成电路设计、制造环节的快速增长,将带动国内 EDA 行业需求。

国内 EDA 产业有较大发展空间。根据 WSTS、ESD Alliance 数据,2020 年全 球 EDA 市场规模与全球集成电路市场规模比例为 3.17%,而根据中国半导体行业协 会数据,中国 EDA 市场规模与中国集成电路市场规模比例为 1.05%,明显低于全球 水平,具有较大发展空间。中国半导体行业协会预测中国 EDA 市场规模占全球 EDA 市场规模比例将从 2020 年的 11.8%上升至 2030 年的 27.5%。

政策支持 EDA 行业发展。2008 年 4 月,国家科技重大专项“核心电子器件、高 端通用芯片及基础软件产品”实施方案经国务院常务会议审议并原则通过。作为《国 家中长期科学和技术发展规划纲要(2006-2020 年)》所确定的国家十六个科技重大 专项之一,EDA 行业获得了鼓励和扶持。近年来国家陆续出台了大批鼓励性、支持 性法规和政策,为集成电路产业及 EDA 行业的升级和发展营造了良好的政策和制度 环境。

供应链安全刺激自主可控需求。国际政治军事形势日趋复杂,中美贸易摩擦加剧, 特别是 2019 年华为被列入实体清单等后,半导体产业供应链安全受到重视。EDA 工 具作为集成电路产业链的上游,自主可控需求旺盛。根据赛迪顾问统计,国际三大 EDA 巨头新思科技、铿腾电子和西门子 EDA 在国内市场占据明显的头部优势,2020 年合计占领约 80%的市场份额,国产化率仍有相当大的提升空间。2022 年 8 月 12 日,美国商务部工业与安全局(BIS)宣布了对包括设计 GAAFET(全栅场效应晶体 管)结构集成电路所必须的 ECAD 软件等四项技术实施新的出口管制。这显示了提 升我国 EDA 产业国产化率的重要性。

国内 EDA 企业融资规模不断增长。根据集微咨询不完全统计,2021 年 EDA 赛 道融资事件超 15 起,融资企业超 12 家,融资规模或超 20 亿元;远超 2020 年的超 5 起融资事件、超 13 亿元规模。此外国内 EDA 行业领先企业概伦电子、华大九天、 广立微分别于 2021 年 12 月、2022 年 7 月、2022 年 8 月完成 IPO 上市,募资净额 分别为 11.15 亿元、34.66 亿元、26.84 亿元。融资资金将有助于 EDA 企业持续加大 研发投入规模,提升核心技术实力。

未来有望通过并购快速扩大产品线,提升核心竞争力。与海外 EDA 企业在发展 历程中进行大量并购不同,国内 EDA 行业仍处于发展初期,仅有华大九天在 2010 年并购华天中汇,以及概伦电子在 2019、2021 年分别并购博达微、Entasys 等少数 案例。随着国内 EDA 行业初创企业的涌现和发展,行业内领先企业也将有望通过并 购实现快速扩大产品线,提升核心竞争力。 2025 年中国 EDA 市场规模有望达到 185 亿元。中国半导体行业协会预测,2025 年我国EDA市场规模将达到184.9亿元,占全球EDA市场比例将达到18.1%;2021-2025 年年均复合增速为 15.64%。

4 中外 EDA 公司对比及启示

4.1 新思科技发展历程

新思科技成立于 1986 年,是全球排名第一的 EDA 解决方案提供商,全球排名 第一的芯片接口 IP 供应商,同时也是信息安全和软件质量的全球领导者。公司主营 业务为芯片设计与验证、半导体 IP、软件安全与质量,2021 财年各业务营收占总营 收比例分别为 56%、35%、9%。

2021 财年,新思科技营收为 42.04 亿美元,同比增长 14.08%;净利润为 7.58 亿美元,同比增长 14.16%。2006-2021 年,新思科技营收年均复合增速达到 9.38%; 净利润年均复合增速达到 25.54%。

新思科技发展经历三个阶段。新思科技发展经历了初创期、成长期和领先期三个 阶段。(1)初创期:1986 年,新思科技成立,凭借逻辑综合工具,公司收入快速增 长。1990 年,新思科技购买了 VHDL 仿真业务并推出了综合测试产品。(2)成长期: 1992 年,新思科技在纳斯达克交易所上市,并推出了 DesignWare。20 世纪 90 年代, 公司不断进行收购,扩展地域覆盖、产品覆盖。2002 年,公司收购 Avant 公司,使 其成为历史上第一家可以提供顶级前后端完整 IC 设计方案的领先 EDA 工具供应商。 (3)领先期:2008 年,新思科技超越 Cadence 成为全球第一大 EDA 厂商。在此期间, 新思科技陆续收购了 Synplicity、ORA、SpringSoft,拓展了 FPGA 原型验证、光学、 全定制领域。2012 年,新思科技收购了当时全球第四大 EDA 工具商 Magma,进一步巩固了市场地位。

收购贯穿了新思科技的发展历史。在集成电路设计到制造的全产业链环节中,需 要由数十甚至上百种 EDA 点工具进行支持,因此在发展中进行并购整合是 EDA 企业发 展的普遍路径。新思科技目前已完成了百次的并购整合,从而实现快速拓展产品品类、 业务地域等。

4.2 中外 EDA 公司对比

营收、净利润差距较大,但中国 EDA 公司增速较快。2021 财年,新思科技、 铿腾电子营收分别为 267.54 亿元、190.34 亿元,明显高于中国 EDA 公司华大九天、 概伦电子、广立微的 5.79 亿元、1.94 亿元、1.98 亿元营收。但由于中国 EDA 行业 存在中国半导体产业发展、政策支持、国产化率提升空间较大等因素,中国 EDA 公 司的营收增速明显高于国际巨头。中外 EDA 公司的净利润情况也与营收情况相似。

中外 EDA 公司毛利率均处于较高水平。EDA 行业公司以提供工具软件为主,并 提供相对低毛利率的服务,整体毛利率均处于较高水平。广立微由于具有占比超 50% 的相对低毛利率的测试机及配件业务,整体毛利率略低于行业水平。

国内 EDA 公司销售、管理费用率相对较高。由于国内 EDA 公司成立时间相对 较短,营收规模相对较小,规模效应相对较小,市场地位也存在差距,因此销售费用 率、管理费用率整体高于国际巨头水平。随着营收规模增长、管理、研发流程优化、 市场地位提升等,国内 EDA 公司的销售、管理费用率有望下降。

国内 EDA 公司员工数、人均创收、人均创利有明显差距。2021 年末,国际 EDA 巨头新思科技、铿腾电子员工数分别约为 16300 人、8100 人,而国内 EDA 企业员工数均少于 1000 人,存在明显差距。员工数特别是研发人员数量部分制约了公司的产 品覆盖度和技术先进性,在行业需求的带动下,国内 EDA 行业人才有望实现快速增加。 由于技术先进性、市场地位、业务规模等因素,国内 EDA 企业人均创收、人均创利较 国际 EDA 巨头也存在明显差距。

5 投资分析

5.1 华大九天:国内 EDA 龙头企业,部分领域实现全流程覆盖

国内 EDA 产业龙头企业。公司成立于 2009 年 6 月,聚焦于 EDA 工具软件的开发、 销售及相关服务,目前已经成长为国内规模最大、产品线最完整、综合实力最强的国 产 EDA 企业。2021 年,公司实现营业收入 5.79 亿元,同比增长 39.66%;归母净利润 为 1.39 亿元,同比增速 34.52%。根据赛迪顾问数据,2020 年华大九天国内市占率约 6%,仅次于国际三大巨头。 在部分领域实现全流程工具覆盖。公司产品覆盖了模拟电路设计、数字电路设计、 平板显示电路设计和晶圆制造等领域,特别是在模拟电路设计和平板显示电路设计方 面能够实现全流程工具覆盖。国内其他 EDA 厂商则主要聚焦于特定细分领域的点工具, 公司具备全流程解决方案竞争优势。

募投项目增强核心竞争力。2022 年 7 月,公司完成创业板上市,募资净额为 34.66 亿元,将投向电路仿真及数字分析优化 EDA 工具升级项目、模拟设计及验证 EDA 工具 升级项目,面向特定类型芯片设计的 EDA 工具开发项目、数字设计综合及验证 EDA 工具开发项目。项目实施将增强公司主营产品技术实力,满足特定芯片设计、数字芯 片设计业务需求,提高市占率。

5.2 概伦电子:围绕 DTCO 领域布局,获境外头部客户认可

围绕 DTCO 领域布局。公司成立于 2010 年,围绕集成电路行业工艺与设计协同优 化(DTCO)进行技术和产品的战略布局,推动先进工艺节点的加速开发和成熟工艺节 点的潜能挖掘。公司针对中国集成电路行业的特点,围绕 DTCO 方法学以面向制造环 节的器件建模及验证 EDA 工具为起点,在产品具备国际市场竞争力后,进一步推出了 面向设计环节的电路仿真及验证 EDA 工具,成功覆盖了设计与制造两大关键环节。 2021 年公司总营业收入为 1.94 亿元,同比增长 41.01%;归母净利润为 0.29 亿元, 同比下降 1.41%。 公司获境外头部客户认可。公司境外客户包括美光科技、联电、三星电子、台积 电、Lattice Semiconductor Corporation、eSilicon Corporation、Jedat Inc.、 SK 海力士等。2021 年公司境外营收为 1.01 亿元,同比增长 37.96%,占总营收比例 为 51.91%。

发布全流程产品 NanoDesigner。2022 年 8 月 1 日,公司发布 EDA 全流程的平台 产品 NanoDesigner,其提供了存储和模拟/混合信号 IC 设计环境,包括原理图编辑、 版图编辑和优化及物理验证等功能,同时与公司的电路仿真器 NanoSpice 系列引擎集 成,为以各类存储器、平板显示、模拟电路等为代表的定制类芯片设计提供完整的 EDA 全流程。公司实现从关键点工具向全流程平台的突破,进一步拓展市场空间。

5.3 广立微:深耕集成电路成品率提升领域

深耕集成电路成品率提升领域。公司成立于 2003 年,是领先的集成电路 EDA 软 件与晶圆级电性测试设备供应商,专注于芯片成品率提升和电性测试快速监控技术, 是国内外多家大型集成电路制造与设计企业的重要合作伙伴。公司依托软件工具授权、 软件技术开发和测试机及配件三大主业,提供 EDA 软件、电路 IP、WAT 测试设备以及 与芯片成品率提升技术相结合的全流程解决方案,在集成电路从设计到量产的整个产 品周期内实现芯片性能、成品率、稳定性的提升。 推动国产替代,获大客户认可。在成品率相关 EDA 工具、技术服务及 WAT 测试设 备等领域,国际厂商目前占据了主要的市场份额。通过独特的技术路线与先进的工具、 设备,公司成为少数可以提供相应产品及服务的国内厂商。EDA 工具及技术服务方面, 公司的客户包括华润微电子、三星电子等企业。WAT 测试设备方面,公司已经进入华 虹集团、粤芯半导体等晶圆厂的供应体系,打破了 Keysight 的垄断,实现了国产替 代。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。